SystemC

SystemC est souvent confondu avec un langage de description de matériel, tel que VHDL ou Verilog. En fait, SystemC est un langage de description de plus haut niveau, puisqu'il permet une modélisation de systèmes au niveau comportemental. SystemC n'est pas un langage à part entière mais un ensemble de classes C++ qui introduisent les concepts nécessaires à la modélisation du matériel (par exemple la notion de processus concurrents). Conservant les fonctionnalités du C++, il reste possible de décrire des fonctions purement logicielles. SystemC permet donc de modéliser des systèmes matériels, logiciels, mixtes ou même non-partitionnés. Il est donc particulièrement approprié à la conception de systèmes de type SoC.

SystemC intègre également la possibilité de simuler le modèle conçu, puis, par raffinements successifs, d'aboutir à une représentation implémentable.

SystemC a été développé en commun par plusieurs entreprises. À cette fin, l'OSCI (Open SystemC Initiative) a été créé, chargé de diffuser, promouvoir et rédiger les spécifications de SystemC. En , SystemC est standardisé auprès de l'IEEE sous le nom de IEEE 1666-2005.

En 2011, une évolution majeure de SystemC est publiée avec la version 2.3 de la bibliothèque correspondant au standard IEEE 1666-2011. Depuis, le développement et la diffusion du standard sont contrôlés par Accellera[1].

Exemples

  • Exemple de logique combinatoire:
#include "systemc.h"

SC_MODULE(and3)
{
  sc_in<bool> e1;
  sc_in<bool> e2;
  sc_in<bool> e3;
  sc_out<bool> s;
  void compute_and()
  {
    s = e1 & e2 & e3;
  };
  SC_CTOR(and3)
  {
    SC_METHOD(compute_and);
    sensitive << e1;
    sensitive << e2;
    sensitive << e3;
  }
}
  • Exemple de logique séquentielle:
#include "systemc.h"

SC_MODULE(counter)
{
  sc_in<bool> clk;
  sc_in<bool> nrst;
  sc_out<sc_uint<8> > q;
  void count()
  {
    if(nrst == false)
      q = 0;
    else
      q = q + 1;
  };
  SC_CTOR(and3)
  {
    SC_METHOD(count);
    sensitive << clk.pos();
  }
}

Historique

Ci-dessous, les différentes versions de SystemC[2] :

  • Version 0.9 par Synopsys en 1999[3],[4]
  • Version 1.0 par Frontier Design
  • Version 1.1 par CoWare en 2001
  • Création de L'OSCI (Open SystemC Initiative) en 2001
  • Version 2.0 par L'OSCI
  • Standardisation par l'IEEE IEEE1666-2005 en 2005.
  • Version 2.2 conforme au standard IEEE en 2007[5]
  • Version 2.3 avec révision du standard IEEE1666-2011 en 2011, avec notamment l'inclusion de TLM 2 au standard.

En une alliance entre Accellera et l'OSCI est annoncée[6],[7].

En , Accellera annonce que la bibliothèque est dorénavant distribuée sous les termes de la licence Apache 2.0[8]. À cette occasion la version 2.3.1 est distribuée.

  • Version 2.3.2 est la dernière version disponible. Elle apporte principalement la compatibilité avec les versions récentes de C++ et de certains compilateurs.

Depuis [9], Accellera propose un dépôt git officiel public (hébergé sur GitHub[10]) pour accéder au code source de la bibliothèque ainsi qu'aux versions en cours de développement.

Références

Liens externes

  • Portail de l’informatique
Cet article est issu de Wikipedia. Le texte est sous licence Creative Commons - Attribution - Partage dans les Mêmes. Des conditions supplémentaires peuvent s'appliquer aux fichiers multimédias.